项目

一般

简介

Systemc Setup

范 益波超过 12 年 之前添加

1. setup
引用:http://www.eetop.cn/blog/html/46/305546-21526.html

一、编译System库

1.下载SystemC library source code, 到http://www.systemc.org注册会员账号后,即可下载SystemC library soure code

2. 以SystemC 2.2.0为例,下载后的文件名为systemc-2.2.0.tgz,解压到C盘目录下:C:\systemc-2.2.0

3. 用VisualStudio2010打开C:\systemc-2.2.0\msvc71\SystemC目录下的SystemC.sln或SystemC.vcproj

4. 打开后直接点 “Build”-->“Build Solution”(英文软件),系统会编译完成这个工程(大概一两分钟的样子)。在C:\systemc-2.2.0\msvc71\SystemC\debug目录下就生成了SystemC.lib。

二、创建SystemC工程并编译

1.在VisualStudio2010创建一个新的工程:File->New->project ,此时会弹出一个工程简单配置窗口,一般情况软件会默认选择“win32 console application”工程,如果不是的话选择“win32 console application”,输入工程名字,假设为“SC_NAND2”,然后点“OK”->“Next”,此时把Additional options选项选择为“Empty project”,点“finish”,完成新工程的创建。

2. 加入设计和完成工程设置:
点击右键->“Properties”,会弹出一个工程设置窗口,进行如下设置:
1)VC++ Directories / Library files 将这选项设置为C:\systemc-2.2.0\msvc71\SystemC\Debug(systemc.lib所在目录)
2)VC++ Directories / Include files 将这选项设置为C:\systemc-2.2.0\src(systemc.h所在目录)
3)C/C++ / General / Warning level = Level 1(/W1)
4)C/C++ / Code Generation / Runtime Library =Multi-thread Debug (/MTd)
5)C/C++ / Command Line / Additional Options = /vmg /D_CRT_SECURE_NO_DEPRECATE (注意:vmg和/D间有个空格)
6)C/C++ / language /enabloe run time type information = yes
7)Linker / Input / Additional Dependiences = systemc.lib
8)点“OK”

3. 编译工程生成exe文件和vcd文件

点菜单栏中“Build”->“Build SC_NAND2”(或Build Solution)。这时会在工程文件夹下Debug文件夹里生成SC_NAND.exe可执行文件。运行这个文件,就会在该目录下生成Nand2.vcd文件。

三. 在ModelSim中观看波形

1. 在ModelSim中新建工程:File->New->project,输入工程名字,如“Nand2_vcd”然后点“OK”。

2. 把Nand2.vcd文件复制到ModelSim的Nand2_vcd工程目录下(如果不做这一步,会无法做下面操作的格式转换)。

3. 把Nand2.vcd添加到工程里:在界面的project空白处,点击右键“Add to project”->Existing File->指定到Nand2_vcd工程下的Nand2.vcd。

4. 在ModelSim命令行里输入:“vcd2wlf Nand2.vcd Nand2.wlf”,软件就会自动生成Nand2.wlf文件(跟Nand2.vcd在同一个目录下)

5. 把Nand2. wlf添加到工程里:在界面的project空白处,点击右键“Add to project”->Existing File->指定到刚生成的Nand2. wlf。

6. 选择Nand2. Wlf,点击右键->“Edit”,ModelSim就会显示波形。


回复 (2)

RE: Systemc Setup - 由 范 益波超过 10 年 之前添加

SystemC @ Xcode

RE: Systemc Setup - 由 魏 家聪 在 超过 6 年 之前添加

在 Visual Studio 环境下,Release 与 Debug 分别依赖相应的 SystemC 库,故须分别编译 Release 与 Debug 版本的 SystemC 库。

    (1-2/2)